GotAI.NET

Форум: Проблемы искусственного интеллекта

 

Регистрация | Вход

 Все темы | Новая тема Стр.46 (48)<< < Пред. | След. > >>   Поиск:  
 Автор Тема: На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
гость
45.128.39.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 17 янв 25 8:39
Цитата:
Автор: гость

У Игната походу крышняк окончательно протёк, он хочет выпендриться своим комипастом под видом типа "разумного" ignat99, а с анонимов строчит бред и блюёт желчью на всех. Всем это понятно стало, так как и риторика схожая и затем он с анонимов продолжал темы про его джунскую зп. окончательно спаливщись. И он начал сам себя тролить с анонимного акаунта, чтобы запутать след.

Смех и грех, типа он думает что работодатели и клиенты его как "СПЕЦИАЛИСТА", будут читать его вменяемые посты с ignat99 а фашистские и социофобские вбросы с анонимов фильтровать, когда будут его пробивать по сети. Сейчас нейросеткой прошерстить этот форум и с 99% вероятностью можно найти все посты Игната по стилю и злобствованию. Очистить репутацию уже не получится.


Тут рагули из хлева тупые вылезли с нулевыми знаниями. Откровенные дебилы. За что не возьмутся везде ошибаются. Уже даже не смешно. Если 50% в таком состоянии находятся, то война на Вкраине действительно будет до последнего рагуля.

Потому что умные русские и настоящие поляки найдут способ избавится от рагулей-бандеровцев.

Пробовало СССР очеловечить рагулей заводами, но не чего не вышло. Наоборот, рагулей стало пол Москвы. То же ждёт и Европу, если не примут меры против распространения этой рагульской чумы.
[Ответ][Цитата]
гость
45.128.39.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 17 янв 25 8:45
Ахтунг!

Малолетние.
На этом форуме есть сразу пять виртуалов Веласкин и Стволовой и Бессмертный сложный, zzzz, ignat99.

Но все дебилы, потому что думают что Верилог это язык проектирования железа.
На самом деле HDL языки это просто приблуда, для упрощения проектирования говтовых миркосхем, где нужно развести только верхний слой.

Вначале делается топология, а уже потом разрабатывается библиотека готовых элементов. На которой собирается устройство и уже опционально можно получить HDL код.

Так вот Дмитрий Надёжин это даже не специалист по топологии, это специалист по CAD системам для автоматического размещения и трасировки топологии. Но рагулям это не понять, а картавые на этом форуме слишком дебилы без образования.

Им лишь бы про ИИ помечтать, как всем рагулям. Особенно прикольно смотреть видео, где какой то рагуль построил лодку с парусом за 60 000 руб. И куча рагулей начинают прикидывать, хватит ли у них рамера гаража, осилят ли они 45 долларов за проект заплатить. Называют всё это яхтой.
Так и тут рагули кремневый компилятор уже готовы назвать ИИ. Дебилы.

И наообород ИИ без функции элементарного парсинга (условного понимания) кода, считать решением на все случаи жизни. В том числе для подтасовки своего не знания предмета.

Инструкция для умных (максимум 2 недели работы, но можно и за 5 рабочих дней):

Берется перевод книги изданный в 1992 году "Интерактивная трехмерная машинная графика" автор Амеральд (оригинал 1986 год). Там в конце листинги на чистом Турбо Си

Основное графическое окно с парсером ввода и фала и горячими клавишами
D3D.C - 2160 строк
Основные операции с графическим контроллером и шрифт встроенный. В Винтаж Стори есть лепка матричных форм из глины и ковка по шаблону. Как раз в точности по 8x11 матрице можно прямо в игровом процессе создавать растровые шрифты, ни чего не меняя в игровом процессе.
GRPACK.C - 796 строк
Аналого предыдущей библиотеки, но с потдержкой BGI (драйверов видеокарт Borland)
GRPACK1.C - 397 строк
Матрицы вращения векторов, которые образуют модель.
TRAFO.C - 70 строк.
Удаление скрытых линий.
HLPFUN.C - 970 строк
Распечатка экрана на принтере или графопостроителе HP с ESC последовательностями (и HP-GL) (актуальна до сих пор на производстве (можно переделать на G-код) - так как программы для ЧПУ очень схожи с форматом PS). Часть станков с ЧПУ управлятся до сих пор строго через COM порт и строго через Windows XP приложение - продукт модификации устаревших аппаратно контроллеров ЧПУ.
PLOTHP.C - около 200 строк. Но если расширять для ЧПУ станка, то будет отдельный модуль.

http://optic.cs.nstu.ru/files/CC/CompGraph/Lit/Shikin.pdf

Е.В. Шикин, А.В. Боресков
КОМПЬЮТЕРНАЯ
ГРАФИКА
_________________________________________________
динамика,
реалистические
изображения


Вот в этой книге все про построение реалистичных изображения и обратную трасировку. Так же там база по потдержке всех стандартных графических драйверов. И использование stdlib и приимущества C++ для переопределения векторных операций, что уменьшает объем читаемого кода.

Набираете, адаптируете к Фрейм Буферу ядра Линукс и вперед с песней. Производительность будет такая (если спрямить индексацию и ввести иерархию ситуационную), что современные графические карты позавидуют. Можно даже использовать механизм страничной адрессации и особенности DMA контроллеров.

Отладить программу можно прямо в Турбо-С под DOS
https://www.geeksforgeeks.org/how-to-install-turbo-c-on-linux/
sudo apt-get install dosbox

Правильная русификация
https://www.vogons.org/viewtopic.php?t=56032
После запуска скрипта русификации в любой директории, он создаст файл russian.txt, который вместе с путём к нему надо прописать в файле конфигурации dosbox.

Переход между английским и русским левый и правые Alt-Shift.

Потом частями запихиваете прямо в ПЛИС этот код если есть хорошая под рукой.
Можно, конечно и современные графические карты приспособить, но обязательно на уровне прямого програмирования железа. Это уже дело техники. Если алгоритм готов и отлажен. То портирование можно поручить даже рагулю с образованием или какому нибудь картавому с опытом. Накосячить там сложно. Но все равно за такими персонажами нужен глаз да глаз.

Если нужно сделать хорошо, то сделай это сам.

Если каждая 1000 строчек кода набирается за 1 день.
То всего набора текста программы дня на 4.


Инструкция для тупых:

Пройдите по любой из 12 ссылок ниже.
Прочитайте хотя бы один абзац и задайте вопрос строго по прочитанному.

Все сопли зависти публикуйте в других темах.



Оглавление заметок об игровых моделях. Игровые модели как среда для развития ИИ.


1. Введение про крылья Бабочки (охватывает упругофотонику, электромагнетизм и сильнослабое взаимодействие)
http://gotai.net/forum/Default.aspx?postid=354554#354554
http://gotai.net/forum/Default.aspx?postid=354737#354737

2. Передодическая структура глаза человека (сходная с крылом бабочки)
http://gotai.net/forum/Default.aspx?postid=354778#354778
http://gotai.net/forum/Default.aspx?postid=354794#354794

3. Триангуляция Делоне
http://gotai.net/forum/Default.aspx?postid=354811#354811

4. ДНК язык стурктуры 1-3 уровня
http://gotai.net/forum/Default.aspx?postid=354834#354834

5. Геликатеноид как представление рабочего тела репарации ДНК
http://gotai.net/forum/Default.aspx?postid=354788#354788
http://gotai.net/forum/Default.aspx?postid=354816#354816

6. Детали Сильно-Слабого взаимодействия в контексте механизмов репарации ДНК
http://gotai.net/forum/Default.aspx?postid=354872#354872

7. Устройство наносборщика структур (Технология производства крыльев бабочек или сенсоров нового поколения с элементами квантово-фотонной логики)
http://gotai.net/forum/Default.aspx?postid=354875#354875
http://gotai.net/forum/Default.aspx?postid=354966#354966

8. Внутри-газовые структуры
http://gotai.net/forum/default.aspx?postid=354875#354875

9. Физико-химические процессы ниже уровня Кодонов или тритов
http://gotai.net/forum/default.aspx?postid=354903#354903

10. 3 уровень Упорядоченные структуры клатратов как объединения особых геликоидов
http://gotai.net/forum/default.aspx?postid=355030#355030


11. 4 уровень Фракталы и автогенерация ланшафта
http://gotai.net/forum/default.aspx?postid=354966#354966
http://gotai.net/forum/default.aspx?postid=355104#355104

12. 5 уровень Словарная логика Томашик (или самовосстановление матриц Вавилонского языка)
http://gotai.net/forum/default.aspx?postid=354964#354964


13. Дебильнейший ворпрос рагуля Дмитрия Стволового (виртуала рагуля) про диод
http://gotai.net/forum/default.aspx?postid=354974#354974

Впрочем как раз процессы в Диоде проще всего визуализировать в структурах описанного выше типа.

Когда заданы все правила, и найдены все физические законы. ИИ остается только выбирать оптимальные конфигурации структур. Сообственн выше это наподобие оглавления без деталей математики.

А в описываемом выше случае, любой остовный граф покрывает например алгоритм трасировки света, тепла или других физических параметров. Таких как электрический ток или магнитное поле.
[Ответ][Цитата]
IvanVlaskin1976
Сообщений: 13038
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 17 янв 25 9:10
Цитата:
Автор: гость
У Игната походу крышняк окончательно протёк, он хочет выпендриться своим комипастом под видом типа "разумного" ignat99, а с анонимов строчит бред и блюёт желчью на всех. Всем это понятно стало, так как и риторика схожая и затем он с анонимов продолжал темы про его джунскую зп. окончательно спаливщись. И он начал сам себя тролить с анонимного акаунта, чтобы запутать след.

Старикашка просто запутался в своей паранойе и в своём враньё
[Ответ][Цитата]
гость
45.128.39.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 17 янв 25 9:14
Цитата:
Автор: IvanVlaskin1976


Старикашка просто запутался в своей паранойе и в своём враньё


Вас нет смысла различать. Вы все рагули дебилы неисправимые.
Брехцнцы и тараторки без мозгов. О чем я и говорю. От рагульской чумы надо спасать Европу.
Рассия как нибудь сама разберется.
[Ответ][Цитата]
гость
212.38.189.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 17 янв 25 12:08
Цитата:
Автор: гость

он хочет выпендриться своим комипастом под видом типа "разумного" ignat99
вообще игната нужно похвалить, он ведет своё сво как может, давно уже сво не просто рядовая спецоперация, а священная война русских против фашистского запада, как джихад у мусульман, каждый мусульманин ведет свой джихад против неверных, игнат ведёт своё сво как может, на что способен его разум, это похвально
[Ответ][Цитата]
гость
185.40.4.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 18 янв 25 4:03
Цитата:
Автор: гость

сторож кадр почище игната, он про массивы вообще не знал, а пользовался просто отдельными переменными:
- вместо a[i]
- у него было много переменных a1, a2, a3 ... an и присваивал он каждой переменной значение вручную в коде, оттого у него простыни были знатные )) какой то хакер просматривал его код и умер от такой неожиданности, не выдержало серце наверное или инсульт м.б, короткое замыкание в мозге) Игнат думается справится с массивами, со временем.
Примерно так и было, умер хакер void после того как пообщался с rrr3

здесь сам код https://ufile.io/jczfff7n

И с самого старта видимо тот фрагмент кода который вызвал фатальное воздействие на психику хакера воида:

[code]

from tkinter import *
import random
import pickle

lst_2 = ['01','10','11','02','20','22'] #######++ 6 вариантов пар из 0,1,2, исключая 00
tmp_lst = [] ###### для временных манипуляций со списками в дальнейшем тексте проги
###### список свободных сенсорных выходов в систему (с дублями)
free_ex_s = ['s1ex','s2ex','s3ex','s4ex','s5ex','s6ex',\
's1ex','s2ex','s3ex','s4ex','s5ex','s6ex',\
's1ex','s2ex','s3ex','s4ex','s5ex','s6ex',\
's1ex','s2ex','s3ex','s4ex','s5ex','s6ex',\
's1ex','s2ex','s3ex','s4ex','s5ex','s6ex',\
's1ex','s2ex','s3ex','s4ex','s5ex','s6ex']
all_ex_s = ['s1ex','s2ex','s3ex','s4ex','s5ex','s6ex'] ####### перечень возможных сенсорных выходов в систему
all_ex_h = [] ####### все выходы элементов
free_ex_h = [] ####### свободные выходы элементов
start = 1 ####### метка для первого пуска
pr = 0 ####### метка для распечатки наименовний связей и их значений
lo = 0 #######++ метка для сичитывания данных сетки
data = {} ######## для записи сети в файл
data_n = {} ######## для записи сети в файл
hurt_ = 0 ####### метка для боли вручную. 1 - боль включена, 0 - выключена
eff_on = 1 ######## если 1, то последняя (3) цифра в окошке заменяется на цифру от эффектора, если 0, то остается без изменения
dic_ex = {'h500ex1':0,'h500ex2':0} ####### словарь значений выходов, присваивает значение названию выхода
sl = 0 ####### метка для сна
eff_ex = '0' ##### исходное значение выхода эффектора

######################################################################## задание исходных значений
###### в ch_h1in1: ch - означает связь (название), h1 - означает номер элемент системы, in1 - означает номер входа
###### в h1_1: h1 - означает номер элемента, _1 - означает номер пары символов из спика. Это для задания индивидуальных "алгоритмов" реагирования элементов.
h500ex1 = h500ex2 = 0

ch_h1in1=ch_h1in2=ch_h2in1=ch_h2in2=ch_h3in1=ch_h3in2=ch_h4in1=ch_h4in2=ch_h5in1=ch_h5in2=\
ch_h6in1=ch_h6in2=ch_h7in1=ch_h7in2=ch_h8in1=ch_h8in2=ch_h9in1=ch_h9in2=ch_h10in1=ch_h10in2=\
ch_h11in1=ch_h11in2=ch_h12in1=ch_h12in2=ch_h13in1=ch_h13in2=ch_h14in1=ch_h14in2=ch_h15in1=ch_h15in2=\
ch_h16in1=ch_h16in2=ch_h17in1=ch_h17in2=ch_h18in1=ch_h18in2=ch_h19in1=ch_h19in2=ch_h20in1=ch_h20in2=\
ch_h21in1=ch_h21in2=ch_h22in1=ch_h22in2=ch_h23in1=ch_h23in2=ch_h24in1=ch_h24in2=ch_h25in1=ch_h25in2=\
ch_h26in1=ch_h26in2=ch_h27in1=ch_h27in2=ch_h28in1=ch_h28in2=ch_h29in1=ch_h29in2=ch_h30in1=ch_h30in2=\
ch_h31in1=ch_h31in2=ch_h32in1=ch_h32in2=ch_h33in1=ch_h33in2=ch_h34in1=ch_h34in2=ch_h35in1=ch_h35in2=\
ch_h36in1=ch_h36in2=ch_h37in1=ch_h37in2=ch_h38in1=ch_h38in2=ch_h39in1=ch_h39in2=ch_h40in1=ch_h40in2=\
ch_h41in1=ch_h41in2=ch_h42in1=ch_h42in2=ch_h43in1=ch_h43in2=ch_h44in1=ch_h44in2=ch_h45in1=ch_h45in2=\
ch_h46in1=ch_h46in2=ch_h47in1=ch_h47in2=ch_h48in1=ch_h48in2=ch_h49in1=ch_h49in2=ch_h50in1=ch_h50in2=\
ch_h51in1=ch_h51in2=ch_h52in1=ch_h52in2=ch_h53in1=ch_h53in2=ch_h54in1=ch_h54in2=ch_h55in1=ch_h55in2=\
ch_h56in1=ch_h56in2=ch_h57in1=ch_h57in2=ch_h58in1=ch_h58in2=ch_h59in1=ch_h59in2=ch_h60in1=ch_h60in2=\
ch_h61in1=ch_h61in2=ch_h62in1=ch_h62in2=ch_h63in1=ch_h63in2=ch_h64in1=ch_h64in2=ch_h65in1=ch_h65in2=\
ch_h66in1=ch_h66in2=ch_h67in1=ch_h67in2=ch_h68in1=ch_h68in2=ch_h69in1=ch_h69in2=ch_h70in1=ch_h70in2=\
ch_h71in1=ch_h71in2=ch_h72in1=ch_h72in2=ch_h73in1=ch_h73in2=ch_h74in1=ch_h74in2=ch_h75in1=ch_h75in2=\
ch_h76in1=ch_h76in2=ch_h77in1=ch_h77in2=ch_h78in1=ch_h78in2=ch_h79in1=ch_h79in2=ch_h80in1=ch_h80in2=\
ch_h81in1=ch_h81in2=ch_h82in1=ch_h82in2=ch_h83in1=ch_h83in2=ch_h84in1=ch_h84in2=ch_h85in1=ch_h85in2=\
ch_h86in1=ch_h86in2=ch_h87in1=ch_h87in2=ch_h88in1=ch_h88in2=ch_h89in1=ch_h89in2=ch_h90in1=ch_h90in2=\
ch_h91in1=ch_h91in2=ch_h92in1=ch_h92in2=ch_h93in1=ch_h93in2=ch_h94in1=ch_h94in2=ch_h95in1=ch_h95in2=\
ch_h96in1=ch_h96in2=ch_h97in1=ch_h97in2=ch_h98in1=ch_h98in2=ch_h99in1=ch_h99in2=ch_h100in1=ch_h100in2=\
ch_h101in1=ch_h101in2=ch_h102in1=ch_h102in2=ch_h103in1=ch_h103in2=ch_h104in1=ch_h104in2=ch_h105in1=ch_h105in2=\
ch_h106in1=ch_h106in2=ch_h107in1=ch_h107in2=ch_h108in1=ch_h108in2=ch_h109in1=ch_h109in2=ch_h110in1=ch_h110in2=\
ch_h111in1=ch_h111in2=ch_h112in1=ch_h112in2=ch_h113in1=ch_h113in2=ch_h114in1=ch_h114in2=ch_h115in1=ch_h115in2=\
ch_h116in1=ch_h116in2=ch_h117in1=ch_h117in2=ch_h118in1=ch_h118in2=ch_h119in1=ch_h119in2=ch_h120in1=ch_h120in2=\
ch_h121in1=ch_h121in2=ch_h122in1=ch_h122in2=ch_h123in1=ch_h123in2=ch_h124in1=ch_h124in2=ch_h125in1=ch_h125in2=\
ch_h126in1=ch_h126in2=ch_h127in1=ch_h127in2=ch_h128in1=ch_h128in2=ch_h129in1=ch_h129in2=ch_h130in1=ch_h130in2=\
ch_h131in1=ch_h131in2=ch_h132in1=ch_h132in2=ch_h133in1=ch_h133in2=ch_h134in1=ch_h134in2=ch_h135in1=ch_h135in2=\
ch_h136in1=ch_h136in2=ch_h137in1=ch_h137in2=ch_h138in1=ch_h138in2=ch_h139in1=ch_h139in2=ch_h140in1=ch_h140in2=\
ch_h141in1=ch_h141in2=ch_h142in1=ch_h142in2=ch_h143in1=ch_h143in2=ch_h144in1=ch_h144in2=ch_h145in1=ch_h145in2=\
ch_h146in1=ch_h146in2=ch_h147in1=ch_h147in2=ch_h148in1=ch_h148in2=ch_h149in1=ch_h149in2=ch_h150in1=ch_h150in2=\
ch_h151in1=ch_h151in2=ch_h152in1=ch_h152in2=ch_h153in1=ch_h153in2=ch_h154in1=ch_h154in2=ch_h155in1=ch_h155in2=\
ch_h156in1=ch_h156in2=ch_h157in1=ch_h157in2=ch_h158in1=ch_h158in2=ch_h159in1=ch_h159in2=ch_h160in1=ch_h160in2=\
ch_h161in1=ch_h161in2=ch_h162in1=ch_h162in2=ch_h163in1=ch_h163in2=ch_h164in1=ch_h164in2=ch_h165in1=ch_h165in2=\
ch_h166in1=ch_h166in2=ch_h167in1=ch_h167in2=ch_h168in1=ch_h168in2=ch_h169in1=ch_h169in2=ch_h170in1=ch_h170in2=\
ch_h171in1=ch_h171in2=ch_h172in1=ch_h172in2=ch_h173in1=ch_h173in2=ch_h174in1=ch_h174in2=ch_h175in1=ch_h175in2=\
ch_h176in1=ch_h176in2=ch_h177in1=ch_h177in2=ch_h178in1=ch_h178in2=ch_h179in1=ch_h179in2=ch_h180in1=ch_h180in2=\
ch_h181in1=ch_h181in2=ch_h182in1=ch_h182in2=ch_h183in1=ch_h183in2=ch_h184in1=ch_h184in2=ch_h185in1=ch_h185in2=\
ch_h186in1=ch_h186in2=ch_h187in1=ch_h187in2=ch_h188in1=ch_h188in2=ch_h189in1=ch_h189in2=ch_h190in1=ch_h190in2=\
ch_h191in1=ch_h191in2=ch_h192in1=ch_h192in2=ch_h193in1=ch_h193in2=ch_h194in1=ch_h194in2=ch_h195in1=ch_h195in2=\
ch_h196in1=ch_h196in2=ch_h197in1=ch_h197in2=ch_h198in1=ch_h198in2=ch_h199in1=ch_h199in2=ch_h200in1=ch_h200in2=\
ch_h201in1=ch_h201in2=ch_h202in1=ch_h202in2=ch_h203in1=ch_h203in2=ch_h204in1=ch_h204in2=ch_h205in1=ch_h205in2=\
ch_h206in1=ch_h206in2=ch_h207in1=ch_h207in2=ch_h208in1=ch_h208in2=ch_h209in1=ch_h209in2=ch_h210in1=ch_h210in2=\
ch_h211in1=ch_h211in2=ch_h212in1=ch_h212in2=ch_h213in1=ch_h213in2=ch_h214in1=ch_h214in2=ch_h215in1=ch_h215in2=\
ch_h216in1=ch_h216in2=ch_h217in1=ch_h217in2=ch_h218in1=ch_h218in2=ch_h219in1=ch_h219in2=ch_h220in1=ch_h220in2=\
ch_h221in1=ch_h221in2=ch_h222in1=ch_h222in2=ch_h223in1=ch_h223in2=ch_h224in1=ch_h224in2=ch_h225in1=ch_h225in2=\
ch_h226in1=ch_h226in2=ch_h227in1=ch_h227in2=ch_h228in1=ch_h228in2=ch_h229in1=ch_h229in2=ch_h230in1=ch_h230in2=\
ch_h231in1=ch_h231in2=ch_h232in1=ch_h232in2=ch_h233in1=ch_h233in2=ch_h234in1=ch_h234in2=ch_h235in1=ch_h235in2=\
ch_h236in1=ch_h236in2=ch_h237in1=ch_h237in2=ch_h238in1=ch_h238in2=ch_h239in1=ch_h239in2=ch_h240in1=ch_h240in2=\
ch_h241in1=ch_h241in2=ch_h242in1=ch_h242in2=ch_h243in1=ch_h243in2=ch_h244in1=ch_h244in2=ch_h245in1=ch_h245in2=\
ch_h246in1=ch_h246in2=ch_h247in1=ch_h247in2=ch_h248in1=ch_h248in2=ch_h249in1=ch_h249in2=ch_h250in1=ch_h250in2=\
ch_h251in1=ch_h251in2=ch_h252in1=ch_h252in2=ch_h253in1=ch_h253in2=ch_h254in1=ch_h254in2=ch_h255in1=ch_h255in2=\
ch_h256in1=ch_h256in2=ch_h257in1=ch_h257in2=ch_h258in1=ch_h258in2=ch_h259in1=ch_h259in2=ch_h260in1=ch_h260in2=\
ch_h261in1=ch_h261in2=ch_h262in1=ch_h262in2=ch_h263in1=ch_h263in2=ch_h264in1=ch_h264in2=ch_h265in1=ch_h265in2=\
ch_h266in1=ch_h266in2=ch_h267in1=ch_h267in2=ch_h268in1=ch_h268in2=ch_h269in1=ch_h269in2=ch_h270in1=ch_h270in2=\
ch_h271in1=ch_h271in2=ch_h272in1=ch_h272in2=ch_h273in1=ch_h273in2=ch_h274in1=ch_h274in2=ch_h275in1=ch_h275in2=\
ch_h276in1=ch_h276in2=ch_h277in1=ch_h277in2=ch_h278in1=ch_h278in2=ch_h279in1=ch_h279in2=ch_h280in1=ch_h280in2=\
ch_h281in1=ch_h281in2=ch_h282in1=ch_h282in2=ch_h283in1=ch_h283in2=ch_h284in1=ch_h284in2=ch_h285in1=ch_h285in2=\
ch_h286in1=ch_h286in2=ch_h287in1=ch_h287in2=ch_h288in1=ch_h288in2=ch_h289in1=ch_h289in2=ch_h290in1=ch_h290in2=\
ch_h291in1=ch_h291in2=ch_h292in1=ch_h292in2=ch_h293in1=ch_h293in2=ch_h294in1=ch_h294in2=ch_h295in1=ch_h295in2=\
ch_h296in1=ch_h296in2=ch_h297in1=ch_h297in2=ch_h298in1=ch_h298in2=ch_h299in1=ch_h299in2=ch_h300in1=ch_h300in2=\
ch_h301in1=ch_h301in2=ch_h302in1=ch_h302in2=ch_h303in1=ch_h303in2=ch_h304in1=ch_h304in2=ch_h305in1=ch_h305in2=\
ch_h306in1=ch_h306in2=ch_h307in1=ch_h307in2=ch_h308in1=ch_h308in2=ch_h309in1=ch_h309in2=ch_h310in1=ch_h310in2=\
ch_h311in1=ch_h311in2=ch_h312in1=ch_h312in2=ch_h313in1=ch_h313in2=ch_h314in1=ch_h314in2=ch_h315in1=ch_h315in2=\
ch_h316in1=ch_h316in2=ch_h317in1=ch_h317in2=ch_h318in1=ch_h318in2=ch_h319in1=ch_h319in2=ch_h320in1=ch_h320in2=\
ch_h321in1=ch_h321in2=ch_h322in1=ch_h322in2=ch_h323in1=ch_h323in2=ch_h324in1=ch_h324in2=ch_h325in1=ch_h325in2=\
ch_h326in1=ch_h326in2=ch_h327in1=ch_h327in2=ch_h328in1=ch_h328in2=ch_h329in1=ch_h329in2=ch_h330in1=ch_h330in2=\
ch_h331in1=ch_h331in2=ch_h332in1=ch_h332in2=ch_h333in1=ch_h333in2=ch_h334in1=ch_h334in2=ch_h335in1=ch_h335in2=\
ch_h336in1=ch_h336in2=ch_h337in1=ch_h337in2=ch_h338in1=ch_h338in2=ch_h339in1=ch_h339in2=ch_h340in1=ch_h340in2=\
ch_h341in1=ch_h341in2=ch_h342in1=ch_h342in2=ch_h343in1=ch_h343in2=ch_h344in1=ch_h344in2=ch_h345in1=ch_h345in2=\
ch_h346in1=ch_h346in2=ch_h347in1=ch_h347in2=ch_h348in1=ch_h348in2=ch_h349in1=ch_h349in2=ch_h350in1=ch_h350in2=\
ch_h351in1=ch_h351in2=ch_h352in1=ch_h352in2=ch_h353in1=ch_h353in2=ch_h354in1=ch_h354in2=ch_h355in1=ch_h355in2=\
ch_h356in1=ch_h356in2=ch_h357in1=ch_h357in2=ch_h358in1=ch_h358in2=ch_h359in1=ch_h359in2=ch_h360in1=ch_h360in2=\
ch_h361in1=ch_h361in2=ch_h362in1=ch_h362in2=ch_h363in1=ch_h363in2=ch_h364in1=ch_h364in2=ch_h365in1=ch_h365in2=\
ch_h366in1=ch_h366in2=ch_h367in1=ch_h367in2=ch_h368in1=ch_h368in2=ch_h369in1=ch_h369in2=ch_h370in1=ch_h370in2=\
ch_h371in1=ch_h371in2=ch_h372in1=ch_h372in2=ch_h373in1=ch_h373in2=ch_h374in1=ch_h374in2=ch_h375in1=ch_h375in2=\
ch_h376in1=ch_h376in2=ch_h377in1=ch_h377in2=ch_h378in1=ch_h378in2=ch_h379in1=ch_h379in2=ch_h380in1=ch_h380in2=\
ch_h381in1=ch_h381in2=ch_h382in1=ch_h382in2=ch_h383in1=ch_h383in2=ch_h384in1=ch_h384in2=ch_h385in1=ch_h385in2=\
ch_h386in1=ch_h386in2=ch_h387in1=ch_h387in2=ch_h388in1=ch_h388in2=ch_h389in1=ch_h389in2=ch_h390in1=ch_h390in2=\
ch_h391in1=ch_h391in2=ch_h392in1=ch_h392in2=ch_h393in1=ch_h393in2=ch_h394in1=ch_h394in2=ch_h395in1=ch_h395in2=\
ch_h396in1=ch_h396in2=ch_h397in1=ch_h397in2=ch_h398in1=ch_h398in2=ch_h399in1=ch_h399in2=ch_h400in1=ch_h400in2=\
ch_h401in1=ch_h401in2=ch_h402in1=ch_h402in2=ch_h403in1=ch_h403in2=ch_h404in1=ch_h404in2=ch_h405in1=ch_h405in2=\
ch_h406in1=ch_h406in2=ch_h407in1=ch_h407in2=ch_h408in1=ch_h408in2=ch_h409in1=ch_h409in2=ch_h410in1=ch_h410in2=\
ch_h411in1=ch_h411in2=ch_h412in1=ch_h412in2=ch_h413in1=ch_h413in2=ch_h414in1=ch_h414in2=ch_h415in1=ch_h415in2=\
ch_h416in1=ch_h416in2=ch_h417in1=ch_h417in2=ch_h418in1=ch_h418in2=ch_h419in1=ch_h419in2=ch_h420in1=ch_h420in2=\
ch_h421in1=ch_h421in2=ch_h422in1=ch_h422in2=ch_h423in1=ch_h423in2=ch_h424in1=ch_h424in2=ch_h425in1=ch_h425in2=\
ch_h426in1=ch_h426in2=ch_h427in1=ch_h427in2=ch_h428in1=ch_h428in2=ch_h429in1=ch_h429in2=ch_h430in1=ch_h430in2=\
ch_h431in1=ch_h431in2=ch_h432in1=ch_h432in2=ch_h433in1=ch_h433in2=ch_h434in1=ch_h434in2=ch_h435in1=ch_h435in2=\
ch_h436in1=ch_h436in2=ch_h437in1=ch_h437in2=ch_h438in1=ch_h438in2=ch_h439in1=ch_h439in2=ch_h440in1=ch_h440in2=\
ch_h441in1=ch_h441in2=ch_h442in1=ch_h442in2=ch_h443in1=ch_h443in2=ch_h444in1=ch_h444in2=ch_h445in1=ch_h445in2=\
ch_h446in1=ch_h446in2=ch_h447in1=ch_h447in2=ch_h448in1=ch_h448in2=ch_h449in1=ch_h449in2=ch_h450in1=ch_h450in2=\
ch_h451in1=ch_h451in2=ch_h452in1=ch_h452in2=ch_h453in1=ch_h453in2=ch_h454in1=ch_h454in2=ch_h455in1=ch_h455in2=\
ch_h456in1=ch_h456in2=ch_h457in1=ch_h457in2=ch_h458in1=ch_h458in2=ch_h459in1=ch_h459in2=ch_h460in1=ch_h460in2=\
ch_h461in1=ch_h461in2=ch_h462in1=ch_h462in2=ch_h463in1=ch_h463in2=ch_h464in1=ch_h464in2=ch_h465in1=ch_h465in2=\
ch_h466in1=ch_h466in2=ch_h467in1=ch_h467in2=ch_h468in1=ch_h468in2=ch_h469in1=ch_h469in2=ch_h470in1=ch_h470in2=\
ch_h471in1=ch_h471in2=ch_h472in1=ch_h472in2=ch_h473in1=ch_h473in2=ch_h474in1=ch_h474in2=ch_h475in1=ch_h475in2=\
ch_h476in1=ch_h476in2=ch_h477in1=ch_h477in2=ch_h478in1=ch_h478in2=ch_h479in1=ch_h479in2=ch_h480in1=ch_h480in2=\
ch_h481in1=ch_h481in2=ch_h482in1=ch_h482in2=ch_h483in1=ch_h483in2=ch_h484in1=ch_h484in2=ch_h485in1=ch_h485in2=\
ch_h486in1=ch_h486in2=ch_h487in1=ch_h487in2=ch_h488in1=ch_h488in2=ch_h489in1=ch_h489in2=ch_h490in1=ch_h490in2=\
ch_h491in1=ch_h491in2=ch_h492in1=ch_h492in2=ch_h493in1=ch_h493in2=ch_h494in1=ch_h494in2=ch_h495in1=ch_h495in2=\
ch_h496in1=ch_h496in2=ch_h497in1=ch_h497in2=ch_h498in1=ch_h498in2=ch_h499in1=ch_h499in2=ch_h500in1=ch_h500in2=[]


h1_1=h1_2=h1_3=h1_4=h1_5=h1_6=h2_1=h2_2=h2_3=h2_4=h2_5=h2_6=h3_1=h3_2=h3_3=h3_4=h3_5=h3_6=\
h4_1=h4_2=h4_3=h4_4=h4_5=h4_6=h5_1=h5_2=h5_3=h5_4=h5_5=h5_6=h6_1=h6_2=h6_3=h6_4=h6_5=h6_6=\
h7_1=h7_2=h7_3=h7_4=h7_5=h7_6=h8_1=h8_2=h8_3=h8_4=h8_5=h8_6=h9_1=h9_2=h9_3=h9_4=h9_5=h9_6=\
h10_1=h10_2=h10_3=h10_4=h10_5=h10_6=h11_1=h11_2=h11_3=h11_4=h11_5=h11_6=h12_1=h12_2=h12_3=h12_4=h12_5=h12_6=\
h13_1=h13_2=h13_3=h13_4=h13_5=h13_6=h14_1=h14_2=h14_3=h14_4=h14_5=h14_6=h15_1=h15_2=h15_3=h15_4=h15_5=h15_6=\
h16_1=h16_2=h16_3=h16_4=h16_5=h16_6=h17_1=h17_2=h17_3=h17_4=h17_5=h17_6=h18_1=h18_2=h18_3=h18_4=h18_5=h18_6=\
h19_1=h19_2=h19_3=h19_4=h19_5=h19_6=h20_1=h20_2=h20_3=h20_4=h20_5=h20_6=h21_1=h21_2=h21_3=h21_4=h21_5=h21_6=\
h22_1=h22_2=h22_3=h22_4=h22_5=h22_6=h23_1=h23_2=h23_3=h23_4=h23_5=h23_6=h24_1=h24_2=h24_3=h24_4=h24_5=h24_6=\
h25_1=h25_2=h25_3=h25_4=h25_5=h25_6=h26_1=h26_2=h26_3=h26_4=h26_5=h26_6=h27_1=h27_2=h27_3=h27_4=h27_5=h27_6=\
h28_1=h28_2=h28_3=h28_4=h28_5=h28_6=h29_1=h29_2=h29_3=h29_4=h29_5=h29_6=h30_1=h30_2=h30_3=h30_4=h30_5=h30_6=\
h31_1=h31_2=h31_3=h31_4=h31_5=h31_6=h32_1=h32_2=h32_3=h32_4=h32_5=h32_6=h33_1=h33_2=h33_3=h33_4=h33_5=h33_6=\
h34_1=h34_2=h34_3=h34_4=h34_5=h34_6=h35_1=h35_2=h35_3=h35_4=h35_5=h35_6=h36_1=h36_2=h36_3=h36_4=h36_5=h36_6=\
h37_1=h37_2=h37_3=h37_4=h37_5=h37_6=h38_1=h38_2=h38_3=h38_4=h38_5=h38_6=h39_1=h39_2=h39_3=h39_4=h39_5=h39_6=\
h40_1=h40_2=h40_3=h40_4=h40_5=h40_6=h41_1=h41_2=h41_3=h41_4=h41_5=h41_6=h42_1=h42_2=h42_3=h42_4=h42_5=h42_6=\
h43_1=h43_2=h43_3=h43_4=h43_5=h43_6=h44_1=h44_2=h44_3=h44_4=h44_5=h44_6=h45_1=h45_2=h45_3=h45_4=h45_5=h45_6=\
h46_1=h46_2=h46_3=h46_4=h46_5=h46_6=h47_1=h47_2=h47_3=h47_4=h47_5=h47_6=h48_1=h48_2=h48_3=h48_4=h48_5=h48_6=\
h49_1=h49_2=h49_3=h49_4=h49_5=h49_6=h50_1=h50_2=h50_3=h50_4=h50_5=h50_6=h51_1=h51_2=h51_3=h51_4=h51_5=h51_6=\
h52_1=h52_2=h52_3=h52_4=h52_5=h52_6=h53_1=h53_2=h53_3=h53_4=h53_5=h53_6=h54_1=h54_2=h54_3=h54_4=h54_5=h54_6=\
h55_1=h55_2=h55_3=h55_4=h55_5=h55_6=h56_1=h56_2=h56_3=h56_4=h56_5=h56_6=h57_1=h57_2=h57_3=h57_4=h57_5=h57_6=\
h58_1=h58_2=h58_3=h58_4=h58_5=h58_6=h59_1=h59_2=h59_3=h59_4=h59_5=h59_6=h60_1=h60_2=h60_3=h60_4=h60_5=h60_6=\
h61_1=h61_2=h61_3=h61_4=h61_5=h61_6=h62_1=h62_2=h62_3=h62_4=h62_5=h62_6=h63_1=h63_2=h63_3=h63_4=h63_5=h63_6=\
h64_1=h64_2=h64_3=h64_4=h64_5=h64_6=h65_1=h65_2=h65_3=h65_4=h65_5=h65_6=h66_1=h66_2=h66_3=h66_4=h66_5=h66_6=\
h67_1=h67_2=h67_3=h67_4=h67_5=h67_6=h68_1=h68_2=h68_3=h68_4=h68_5=h68_6=h69_1=h69_2=h69_3=h69_4=h69_5=h69_6=\
h70_1=h70_2=h70_3=h70_4=h70_5=h70_6=h71_1=h71_2=h71_3=h71_4=h71_5=h71_6=h72_1=h72_2=h72_3=h72_4=h72_5=h72_6=\
h73_1=h73_2=h73_3=h73_4=h73_5=h73_6=h74_1=h74_2=h74_3=h74_4=h74_5=h74_6=h75_1=h75_2=h75_3=h75_4=h75_5=h75_6=\
h76_1=h76_2=h76_3=h76_4=h76_5=h76_6=h77_1=h77_2=h77_3=h77_4=h77_5=h77_6=h78_1=h78_2=h78_3=h78_4=h78_5=h78_6=\
h79_1=h79_2=h79_3=h79_4=h79_5=h79_6=h80_1=h80_2=h80_3=h80_4=h80_5=h80_6=h81_1=h81_2=h81_3=h81_4=h81_5=h81_6=\
h82_1=h82_2=h82_3=h82_4=h82_5=h82_6=h83_1=h83_2=h83_3=h83_4=h83_5=h83_6=h84_1=h84_2=h84_3=h84_4=h84_5=h84_6=\
h85_1=h85_2=h85_3=h85_4=h85_5=h85_6=h86_1=h86_2=h86_3=h86_4=h86_5=h86_6=h87_1=h87_2=h87_3=h87_4=h87_5=h87_6=\
h88_1=h88_2=h88_3=h88_4=h88_5=h88_6=h89_1=h89_2=h89_3=h89_4=h89_5=h89_6=h90_1=h90_2=h90_3=h90_4=h90_5=h90_6=\
h91_1=h91_2=h91_3=h91_4=h91_5=h91_6=h92_1=h92_2=h92_3=h92_4=h92_5=h92_6=h93_1=h93_2=h93_3=h93_4=h93_5=h93_6=\
h94_1=h94_2=h94_3=h94_4=h94_5=h94_6=h95_1=h95_2=h95_3=h95_4=h95_5=h95_6=h96_1=h96_2=h96_3=h96_4=h96_5=h96_6=\
h97_1=h97_2=h97_3=h97_4=h97_5=h97_6=h98_1=h98_2=h98_3=h98_4=h98_5=h98_6=h99_1=h99_2=h99_3=h99_4=h99_5=h99_6=\
h100_1=h100_2=h100_3=h100_4=h100_5=h100_6=h101_1=h101_2=h101_3=h101_4=h101_5=h101_6=\
h102_1=h102_2=h102_3=h102_4=h102_5=h102_6=h103_1=h103_2=h103_3=h103_4=h103_5=h103_6=\
h104_1=h104_2=h104_3=h104_4=h104_5=h104_6=h105_1=h105_2=h105_3=h105_4=h105_5=h105_6=\
h106_1=h106_2=h106_3=h106_4=h106_5=h106_6=h107_1=h107_2=h107_3=h107_4=h107_5=h107_6=\
h108_1=h108_2=h108_3=h108_4=h108_5=h108_6=h109_1=h109_2=h109_3=h109_4=h109_5=h109_6=\
h110_1=h110_2=h110_3=h110_4=h110_5=h110_6=h111_1=h111_2=h111_3=h111_4=h111_5=h111_6=\
h112_1=h112_2=h112_3=h112_4=h112_5=h112_6=h113_1=h113_2=h113_3=h113_4=h113_5=h113_6=\
h114_1=h114_2=h114_3=h114_4=h114_5=h114_6=h115_1=h115_2=h115_3=h115_4=h115_5=h115_6=\
h116_1=h116_2=h116_3=h116_4=h116_5=h116_6=h117_1=h117_2=h117_3=h117_4=h117_5=h117_6=\
h118_1=h118_2=h118_3=h118_4=h118_5=h118_6=h119_1=h119_2=h119_3=h119_4=h119_5=h119_6=\
h120_1=h120_2=h120_3=h120_4=h120_5=h120_6=h121_1=h121_2=h121_3=h121_4=h121_5=h121_6=\
h122_1=h122_2=h122_3=h122_4=h122_5=h122_6=h123_1=h123_2=h123_3=h123_4=h123_5=h123_6=\
h124_1=h124_2=h124_3=h124_4=h124_5=h124_6=h125_1=h125_2=h125_3=h125_4=h125_5=h125_6=\
h126_1=h126_2=h126_3=h126_4=h126_5=h126_6=h127_1=h127_2=h127_3=h127_4=h127_5=h127_6=\
h128_1=h128_2=h128_3=h128_4=h128_5=h128_6=h129_1=h129_2=h129_3=h129_4=h129_5=h129_6=\
h130_1=h130_2=h130_3=h130_4=h130_5=h130_6=h131_1=h131_2=h131_3=h131_4=h131_5=h131_6=\
h132_1=h132_2=h132_3=h132_4=h132_5=h132_6=h133_1=h133_2=h133_3=h133_4=h133_5=h133_6=\
h134_1=h134_2=h134_3=h134_4=h134_5=h134_6=h135_1=h135_2=h135_3=h135_4=h135_5=h135_6=\
h136_1=h136_2=h136_3=h136_4=h136_5=h136_6=h137_1=h137_2=h137_3=h137_4=h137_5=h137_6=\
h138_1=h138_2=h138_3=h138_4=h138_5=h138_6=h139_1=h139_2=h139_3=h139_4=h139_5=h139_6=\
h140_1=h140_2=h140_3=h140_4=h140_5=h140_6=h141_1=h141_2=h141_3=h141_4=h141_5=h141_6=\
h142_1=h142_2=h142_3=h142_4=h142_5=h142_6=h143_1=h143_2=h143_3=h143_4=h143_5=h143_6=\
h144_1=h144_2=h144_3=h144_4=h144_5=h144_6=h145_1=h145_2=h145_3=h145_4=h145_5=h145_6=\
h146_1=h146_2=h146_3=h146_4=h146_5=h146_6=h147_1=h147_2=h147_3=h147_4=h147_5=h147_6=\
h148_1=h148_2=h148_3=h148_4=h148_5=h148_6=h149_1=h149_2=h149_3=h149_4=h149_5=h149_6=\
h150_1=h150_2=h150_3=h150_4=h150_5=h150_6=h151_1=h151_2=h151_3=h151_4=h151_5=h151_6=\
h152_1=h152_2=h152_3=h152_4=h152_5=h152_6=h153_1=h153_2=h153_3=h153_4=h153_5=h153_6=\
h154_1=h154_2=h154_3=h154_4=h154_5=h154_6=h155_1=h155_2=h155_3=h155_4=h155_5=h155_6=\
h156_1=h156_2=h156_3=h156_4=h156_5=h156_6=h157_1=h157_2=h157_3=h157_4=h157_5=h157_6=\
h158_1=h158_2=h158_3=h158_4=h158_5=h158_6=h159_1=h159_2=h159_3=h159_4=h159_5=h159_6=\
h160_1=h160_2=h160_3=h160_4=h160_5=h160_6=h161_1=h161_2=h161_3=h161_4=h161_5=h161_6=\
h162_1=h162_2=h162_3=h162_4=h162_5=h162_6=h163_1=h163_2=h163_3=h163_4=h163_5=h163_6=\
h164_1=h164_2=h164_3=h164_4=h164_5=h164_6=h165_1=h165_2=h165_3=h165_4=h165_5=h165_6=\
h166_1=h166_2=h166_3=h166_4=h166_5=h166_6=h167_1=h167_2=h167_3=h167_4=h167_5=h167_6=\
h168_1=h168_2=h168_3=h168_4=h168_5=h168_6=h169_1=h169_2=h169_3=h169_4=h169_5=h169_6=\
h170_1=h170_2=h170_3=h170_4=h170_5=h170_6=h171_1=h171_2=h171_3=h171_4=h171_5=h171_6=\
h172_1=h172_2=h172_3=h172_4=h172_5=h172_6=h173_1=h173_2=h173_3=h173_4=h173_5=h173_6=\
h174_1=h174_2=h174_3=h174_4=h174_5=h174_6=h175_1=h175_2=h175_3=h175_4=h175_5=h175_6=\
h176_1=h176_2=h176_3=h176_4=h176_5=h176_6=h177_1=h177_2=h177_3=h177_4=h177_5=h177_6=\
h178_1=h178_2=h178_3=h178_4=h178_5=h178_6=h179_1=h179_2=h179_3=h179_4=h179_5=h179_6=\
h180_1=h180_2=h180_3=h180_4=h180_5=h180_6=h181_1=h181_2=h181_3=h181_4=h181_5=h181_6=\
h182_1=h182_2=h182_3=h182_4=h182_5=h182_6=h183_1=h183_2=h183_3=h183_4=h183_5=h183_6=\
h184_1=h184_2=h184_3=h184_4=h184_5=h184_6=h185_1=h185_2=h185_3=h185_4=h185_5=h185_6=\
h186_1=h186_2=h186_3=h186_4=h186_5=h186_6=h187_1=h187_2=h187_3=h187_4=h187_5=h187_6=\
h188_1=h188_2=h188_3=h188_4=h188_5=h188_6=h189_1=h189_2=h189_3=h189_4=h189_5=h189_6=\
h190_1=h190_2=h190_3=h190_4=h190_5=h190_6=h191_1=h191_2=h191_3=h191_4=h191_5=h191_6=\
h192_1=h192_2=h192_3=h192_4=h192_5=h192_6=h193_1=h193_2=h193_3=h193_4=h193_5=h193_6=\
h194_1=h194_2=h194_3=h194_4=h194_5=h194_6=h195_1=h195_2=h195_3=h195_4=h195_5=h195_6=\
h196_1=h196_2=h196_3=h196_4=h196_5=h196_6=h197_1=h197_2=h197_3=h197_4=h197_5=h197_6=\
h198_1=h198_2=h198_3=h198_4=h198_5=h198_6=h199_1=h199_2=h199_3=h199_4=h199_5=h199_6=\
h200_1=h200_2=h200_3=h200_4=h200_5=h200_6=h201_1=h201_2=h201_3=h201_4=h201_5=h201_6=\
h202_1=h202_2=h202_3=h202_4=h202_5=h202_6=h203_1=h203_2=h203_3=h203_4=h203_5=h203_6=\
h204_1=h204_2=h204_3=h204_4=h204_5=h204_6=h205_1=h205_2=h205_3=h205_4=h205_5=h205_6=\
h206_1=h206_2=h206_3=h206_4=h206_5=h206_6=h207_1=h207_2=h207_3=h207_4=h207_5=h207_6=\
h208_1=h208_2=h208_3=h208_4=h208_5=h208_6=h209_1=h209_2=h209_3=h209_4=h209_5=h209_6=\
h210_1=h210_2=h210_3=h210_4=h210_5=h210_6=h211_1=h211_2=h211_3=h211_4=h211_5=h211_6=\
h212_1=h212_2=h212_3=h212_4=h212_5=h212_6=h213_1=h213_2=h213_3=h213_4=h213_5=h213_6=\
h214_1=h214_2=h214_3=h214_4=h214_5=h214_6=h215_1=h215_2=h215_3=h215_4=h215_5=h215_6=\
h216_1=h216_2=h216_3=h216_4=h216_5=h216_6=h217_1=h217_2=h217_3=h217_4=h217_5=h217_6=\
h218_1=h218_2=h218_3=h218_4=h218_5=h218_6=h219_1=h219_2=h219_3=h219_4=h219_5=h219_6=\
h220_1=h220_2=h220_3=h220_4=h220_5=h220_6=h221_1=h221_2=h221_3=h221_4=h221_5=h221_6=\
h222_1=h222_2=h222_3=h222_4=h222_5=h222_6=h223_1=h223_2=h223_3=h223_4=h223_5=h223_6=\
h224_1=h224_2=h224_3=h224_4=h224_5=h224_6=h225_1=h225_2=h225_3=h225_4=h225_5=h225_6=\
h226_1=h226_2=h226_3=h226_4=h226_5=h226_6=h227_1=h227_2=h227_3=h227_4=h227_5=h227_6=\
h228_1=h228_2=h228_3=h228_4=h228_5=h228_6=h229_1=h229_2=h229_3=h229_4=h229_5=h229_6=\
h230_1=h230_2=h230_3=h230_4=h230_5=h230_6=h231_1=h231_2=h231_3=h231_4=h231_5=h231_6=\
h232_1=h232_2=h232_3=h232_4=h232_5=h232_6=h233_1=h233_2=h233_3=h233_4=h233_5=h233_6=\
h234_1=h234_2=h234_3=h234_4=h234_5=h234_6=h235_1=h235_2=h235_3=h235_4=h235_5=h235_6=\
h236_1=h236_2=h236_3=h236_4=h236_5=h236_6=h237_1=h237_2=h237_3=h237_4=h237_5=h237_6=\
h238_1=h238_2=h238_3=h238_4=h238_5=h238_6=h239_1=h239_2=h239_3=h239
[Ответ][Цитата]
гость
178.20.55.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 18 янв 25 5:48
поколение Z как то так выглядит:



а мелллениалы и за 40 как то так:



уж извините, надо было булками шевелить, идти на риск
[Ответ][Цитата]
гость
185.3.32.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 18 янв 25 5:49
сторож взялся программировать сложный алгоритм, не зная и не умея работать с элементарными вещами. В итоге, конечно, ничего не вышло, смог только "учить молодежь", вонять на форуме говном из своей головы много лет.

По первому запросу поиск выводит сто штук примеров, как сделать массив в пайтоне (и двумерный втч), самый безмозглый и то может скопировать код, но сторож почему то не смог.
[Ответ][Цитата]
гость
109.70.100.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 18 янв 25 6:23
Цитата:
Автор: гость

сторож взялся программировать сложный алгоритм, не зная и не умея работать с элементарными вещами. В итоге, конечно, ничего не вышло, смог только "учить молодежь", вонять на форуме говном из своей головы много лет.

По первому запросу поиск выводит сто штук примеров, как сделать массив в пайтоне (и двумерный втч), самый безмозглый и то может скопировать код, но сторож почему то не смог.


Цитата:


проанализируй алгоритм и расскажи что он делает
ChatGPT сказал:
ChatGPT
Этот скрипт написан на Python и использует библиотеку tkinter. Он имеет признаки проекта, связанного с эмуляцией сетевой архитектуры или системы управления, которая обрабатывает данные, используя входы и выходы, задаваемые определенными элементами.

Основные элементы кода:

Переменные и списки:

lst_2: Список, содержащий строки, представляющие пары значений, исключая 00.
free_ex_s и all_ex_s: Списки сенсорных выходов, которые, возможно, используются для моделирования системы ввода-вывода.
Переменные для состояния:

start, pr, lo, hurt_, eff_on, sl — индикаторы или флаги, управляющие состоянием работы программы.
dic_ex: Словарь, хранящий значения выходов для элементов.
Инициализация сетевых связей:

Множество переменных вида ch_h1in1, h1_1, которые, вероятно, моделируют связи между элементами (например, входы и выходы).
Модуль pickle:

Судя по использованию pickle, программа может сохранять состояние сети или модели в файл и затем восстанавливать его.
Иерархическая структура:

В скрипте описаны сотни элементов и их связи. Это говорит о том, что он моделирует сложную структуру, возможно, связанную с нейросетями или управлением.
Для более точного анализа нужно рассмотреть полный код, в особенности его функции и алгоритмы взаимодействия между элементами. Если есть конкретная часть кода, которую нужно разобрать, укажите её!







Вы сказали:
расскажи что на фвход что на выход и что делает алгоритм
ChatGPT сказал:
ChatGPT
Алгоритм, представленный в скрипте, моделирует систему обработки данных, используя элементы, связанные между собой входами и выходами. Вот общий обзор:

Входные данные (Input):
Сенсорные входы (из free_ex_s и all_ex_s):

Входные данные представлены через список сенсорных элементов (s1ex, s2ex и т. д.), которые, вероятно, получают сигналы извне или имитируют данные от сенсоров.
Возможные внешние параметры:

Метка hurt_ может включать или выключать "состояние боли", что говорит о наличии внешнего воздействия.
Флаг eff_on управляет, изменяются ли данные при их обработке.
Сеть:

Входы элементов модели определяются через переменные типа ch_h1in1, ch_h2in1 и т. д. Это сложная система, связывающая множество элементов.
Выходные данные (Output):
Выходы эффекторов (из dic_ex):

Программа, вероятно, генерирует выходные значения через словарь dic_ex, где каждому элементу соответствуют свои данные.
Основной выход, судя по переменной eff_ex, может быть результатом обработки входных данных через модель.
Сохранённое состояние:

Алгоритм использует модуль pickle, чтобы сохранять текущую конфигурацию сети, включая её входные и выходные значения.
Что делает алгоритм (Processing):
Инициализация:

Определяет связи между элементами, их входы и выходы, и задаёт начальные значения. Это видно в массивных конструкциях вроде ch_h1in1=ch_h1in2....
Манипуляция данными:

Используются списки, такие как lst_2 и tmp_lst, для работы с комбинациями данных.
Сенсорные выходы обрабатываются для получения результата через связь с эффектором.
Моделирование сети:

Система моделирует связи между элементами (входы, выходы) и позволяет взаимодействовать с элементами сети. Каждый элемент представлен через переменные типа h1_1, h2_2.
Состояния и управление:

Флаги (start, hurt_, eff_on) управляют включением разных режимов работы сети.
Сохранение состояния:

Результат работы сети, включая значения её элементов и связей, может быть записан в файл через pickle.
Если вам нужно более детальное описание конкретной части алгоритма, например, логики взаимодействия между элементами, функции ввода-вывода или управления состоянием сети, уточните запрос.







Вы сказали:
я хочу понять что это, для чего оно может быть испольхованно
ChatGPT сказал:
ChatGPT
Этот скрипт выглядит как часть эксперимента или симулятора, созданного для изучения, разработки или моделирования сетей, где используются входы, выходы и связи между элементами. Возможно, это связано с одной из следующих областей:

1. Моделирование искусственных нейронных сетей (ANN):
Система использует элементы с входами и выходами, что похоже на структуру нейронной сети.
Переменные ch_h1in1, h1_1, h1_2 и их количество говорят о попытке построения сложной сетевой архитектуры.
Может быть использовано для экспериментов с алгоритмами обучения или симуляции процессов обработки данных в ANN.
2. Симуляция биологических сетей:
Упоминание "боль" (hurt_) и эффекторов говорит о том, что код мог быть создан для симуляции биологических процессов, например, нервных сетей.
Возможное использование: исследование поведения биологических систем или обучение искусственного интеллекта с элементами биологии.
3. Система управления или автоматизации:
Возможность имитации входов и выходов может быть полезна для моделирования промышленных процессов или автоматизации, где разные сенсоры (входы) передают данные в контроллеры (обрабатывающие элементы), которые затем влияют на исполнительные устройства (эффекторы).
4. Эксперименты с взаимодействующими агентами:
Элементы системы могут моделировать агенты, взаимодействующие друг с другом через входы и выходы.
Используется, например, для разработки алгоритмов распределённых систем или многокомпонентного взаимодействия.
5. Учебная или исследовательская платформа:
Вполне вероятно, что это часть студенческого или исследовательского проекта для изучения:
Как соединять элементы сети.
Как работает маршрутизация данных и взаимодействие в сложных системах.
Области применения:
Наука:
Моделирование поведения сложных сетей (биологических, технических или социальных).
Образование:
Обучение работе с сетями, алгоритмами или системами ввода-вывода.
Индустрия:
Симуляция и тестирование взаимодействия сложных технических систем.
Искусственный интеллект:
Использование для исследований, связанных с нейросетями и обработкой сигналов.
Этот скрипт сложно назвать завершённым продуктом: он больше похож на "каркас" или начальный этап работы. Его конкретное назначение лучше понять, изучив основные функции и то, как они обрабатывают данные. Возможно, автор создавал его для собственной задачи, например, для тестирования идей или исследования сетей.


короче даже джипити не понимает что это
[Ответ][Цитата]
гость
91.132.139.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 18 янв 25 6:23
Цитата:
Автор: гость
Вас нет смысла различать. Вы все дебилы неисправимые.
Брехцнцы и тараторки без мозгов. О чем я и говорю. Надо спасать Европу.
Рассия как нибудь сама разберется.


США. Хьюстон. Раненую преступником полицейскую собаку эвакуируют вертолетом. И это чертовски здорово.
[Ответ][Цитата]
гость
109.70.100.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 18 янв 25 6:30
Между прочем Игнат выкладывал код уровня сторожа, ну или хелловорлд, типа вызвать метод класса какой то либы, что не меньший позор. А теперь пытается постоянно съезжать во всякие эхотерические языки, "верилог", "форт" и тп. ему главное понтануться в знании какой то бесполезной хрени которую не знают большинство, чтобы хоть как то показаться авторитетным, потому что в мейнстримовых ЯП таких как Си он на уровне сторожа и не конкурентный даже с местной публикой вроде Матрикса и Власкина.
[Ответ][Цитата]
гость
185.3.32.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 18 янв 25 6:33
это вы очень зря сделали, что показали ЭТО чат-жпт, ждите теперь массовых сбоев на их сервере, возможно даже возгорание аппаратуры.
[Ответ][Цитата]
IvanVlaskin1976
Сообщений: 13038
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
+1
Добавлено: 18 янв 25 11:41
Чо за хуйня
Игната что попросили, то он и сделал - написать код который потом можно портировать в верилог
Если бы не надо было портировать - он бы многомерный массив сделал бы
И не говнокод, а нормальный код
Мне Игнат не друг, но справедливость требует отстоять истину
[Ответ][Цитата]
IvanVlaskin1976
Сообщений: 13038
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 18 янв 25 11:47
Полегче на поворотах, "местная публика" говорите?
Да я на спор за несколько часов игру крестики-нолики написал

// KpecTuKu-HoJluku
#include{stdio.h}
void main(void) {
int x,y,i;
char MaccuB[3][3];
a01:
for(x=0;x<3;x++) {
for(y=0;y<3;y++) {
MaccuB[x][y]=' ';
}
}
i=0;
a02:
printf("BBeguTe X(1-3) u Y(1-3):\n");
scanf("%d %d",&x,&y);
if((x!=1&&x!=2&&x!=3)||(y!=1&&y!=2&&y!=3)||MaccuB[x-1][y-1]!=' ') {
printf("HeBepHblij xog\n");
goto a02;
}
i++;
MaccuB[x-1][y-1]='X';
printf("+-+-+-+\n");
for(y=0;y<3;y++) {
printf("|%c|%c|%c|\n",MaccuB[0][y],MaccuB[1][y],MaccuB[2][y]);
printf("+-+-+-+\n");
}
for(x=0;x<3;x++) if(MaccuB[x][0]=='X'&&MaccuB[x][1]=='X'&&MaccuB[x][2]=='X') goto a03;
for(y=0;y<3;y++) if(MaccuB[0][y]=='X'&&MaccuB[1][y]=='X'&&MaccuB[2][y]=='X') goto a03;
if(MaccuB[0][0]=='X'&&MaccuB[1][1]=='X'&&MaccuB[2][2]=='X') goto a03;
if(MaccuB[2][0]=='X'&&MaccuB[1][1]=='X'&&MaccuB[0][2]=='X') goto a03;
printf("Komn xoguT KaK\n");
a04:
x=rand()%3;
y=rand()%3;
if(MaccuB[x][y]!=' ') goto a04;
i++;
MaccuB[x][y]='O';
printf("+-+-+-+\n");
for(y=0;y<3;y++) {
printf("|%c|%c|%c|\n",MaccuB[0][y],MaccuB[1][y],MaccuB[2][y]);
printf("+-+-+-+\n");
}

for(x=0;x<3;x++) if(MaccuB[x][0]=='O'&&MaccuB[x][1]=='O'&&MaccuB[x][2]=='O') goto a05;
for(y=0;y<3;y++) if(MaccuB[0][y]=='O'&&MaccuB[1][y]=='O'&&MaccuB[2][y]=='O') goto a05;
if(MaccuB[0][0]=='O'&&MaccuB[1][1]=='O'&&MaccuB[2][2]=='O') goto a05;
if(MaccuB[2][0]=='O'&&MaccuB[1][1]=='O'&&MaccuB[0][2]=='O') goto a05;
if(i>=9) goto a06;
goto a02;
a03:
printf("Bbl no6eguJlu\n");
goto a07;
a05:
printf("Bbl npou7paJlu\n");
goto a07;
a06:
printf("Hu4b9l\n");
a07:
printf("CHoBa?(1-Yes 0-No)\n");
scanf("%d",&x);
if(x==1) goto a01;
}

[Ответ][Цитата]
гость
54.36.108.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 18 янв 25 16:08
Цитата:
Автор: IvanVlaskin1976

Игната что попросили, то он и сделал - написать код который потом можно портировать в верилог
так он сразу и портировал и тролли поумолкли

Цитата:
Автор: ignаt99




verilog

module matrix_multiplier #(
    parameter DATA_WIDTH = 8,
    parameter MATRIX_SIZE = 4
) (
    input wire [DATA_WIDTH * MATRIX_SIZE * MATRIX_SIZE - 1 : 0] A,
    input wire [DATA_WIDTH * MATRIX_SIZE * MATRIX_SIZE - 1 : 0] B,
    output reg [DATA_WIDTH * 2 * MATRIX_SIZE * MATRIX_SIZE - 1 : 0] C
);
    integer i, j, k;
    reg [DATA_WIDTH * 2 - 1 : 0] temp_sum;

    always @(*) begin
        for (i = 0; i < MATRIX_SIZE; i = i + 1) begin
            for (j = 0; j < MATRIX_SIZE; j = j + 1) begin
                temp_sum = 0;
                for (k = 0; k < MATRIX_SIZE; k = k + 1) begin
                    temp_sum = temp_sum + A[(i * MATRIX_SIZE + k) * DATA_WIDTH +: DATA_WIDTH] * B[(k * MATRIX_SIZE + j) * DATA_WIDTH +: DATA_WIDTH];
                end
                C[(i * MATRIX_SIZE + j) * DATA_WIDTH * 2 +: DATA_WIDTH * 2] <= temp_sum;
            end
        end
    end

endmodule




[Ответ][Цитата]
 Стр.46 (48)1  ...  42  43  44  45  [46]  47  48<< < Пред. | След. > >>