GotAI.NET

Форум: Проблемы искусственного интеллекта

 

Регистрация | Вход

 Все темы | Новая тема Стр.11 (14)<< < Пред. | След. > >>   Поиск:  
 Автор Тема: На: Правильное начало создания ИИ. План действий. Есть вопросы.
Luarvik.
Сообщений: 17287
На: Правильное начало создания ИИ. План действий. Есть вопросы.
Добавлено: 30 июн 18 7:06
Изменено: 30 июн 18 7:11
Цитата:
Автор: 217.107.125
общей концепции нет, словаря терминов нет, пионеров нет.

Природу не обманешь
И в этой области каждый хочет быть первым, а значит и единственным.
Да, пока еще никто до финиша не добежал, но это лишь вопрос времени.
Победитель, само собой, получит совершенно законное право установить эталон и т.н. "отраслевой стандарт" от своего имени и ничего не поделать - всем остальным придется в него втискиваться или до него расширяться, через "не хочу".
[Ответ][Цитата]
Евген
Сообщений: 181
На: Правильное начало создания ИИ. План действий. Есть вопросы.
Добавлено: 30 июн 18 7:34
Цитата:
Автор: Luarvik.


Природу не обманешь
И в этой области каждый хочет быть первым, а значит и единственным.
Да, пока еще никто до финиша не добежал, но это лишь вопрос времени.
Победитель, само собой, получит совершенно законное право установить эталон и т.н. "отраслевой стандарт" от своего имени и ничего не поделать - всем остальным придется в него втискиваться или до него расширяться, через "не хочу".


Ёмко! Полностью согласен.
[Ответ][Цитата]
Евген
Сообщений: 181
На: Правильное начало создания ИИ. План действий. Есть вопросы.
Добавлено: 30 июн 18 7:35

Мысли и предложения. Приглашал на форум продвинутого психолога. Ознакомил. Объяснил ситуацию. Форуму много лет, ведущие игроки, продвинутые, широко мыслящие люди. Всех объединяет интерес к ИИ, но консенсуса нет даже на горизонте. Что делать? Получил ответы. Первый этап. Цель КОНСОЛИДАЦИЯ.
1. Пусть коллективно напишут математический алгоритм: «Я с Тобой не согласен, но уважаю Твоё мнение».
2. Статус алгоритма: «БЕЗОГОВОРОЧНЫЙ».
3. Аккредитовать его.
4. Установить временный мораторий на безапелляционную критику.
5. Прийти к консенсусу, что главный, это не тот, кто самый умный, а кто лучший менеджер. Можно даже со стороны… Вас окружает «рынок»
6. Всё это при условии, что целью является всё же ИИ, а не саботаж…
Какие есть мысли?
[Ответ][Цитата]
гость
188.170.196.*
На: Правильное начало создания ИИ. План действий. Есть вопросы.
Добавлено: 30 июн 18 7:38
Цитата:
Победитель, само собой, получит совершенно законное право установить эталон

у "победителя" не будет никаких прав, так же, как и возможности диктовать свои правила игры или даже просто изменить их.
Единственное, на что может повлиять "победитель" - просто расширить рамки, в которых будет продолжаться игра по старым правилам.
[Ответ][Цитата]
гость
188.170.196.*
На: Правильное начало создания ИИ. План действий. Есть вопросы.
Добавлено: 30 июн 18 8:29
Цитата:
Автор: Евген
5. ..Прийти к консенсусу, что главный, это не тот, кто самый умный, а кто лучший менеджер...


Ну, и в чем функции менеджера будут заключаться? В деле создания ИИ главный все-таки это тот, кто самый умный. Если бы СИИ мог являться продуктом коллективного мышления, он бы уже давно был создан. Обсуждение и разработка этого вопроса уже были в бесконечных стартапах/форумах/конференциях, это ничего не дало.

СИИ это все таки идея, а она бывает только в одной голове, по частям ее "собрать" не получиться.
[Ответ][Цитата]
Luarvik.
Сообщений: 17287
На: Правильное начало создания ИИ. План действий. Есть вопросы.
Добавлено: 30 июн 18 8:36
Цитата:
Автор: 188.170.196
у "победителя" не будет никаких прав, так же, как и возможности диктовать свои правила игры или даже просто изменить их.

Это, полагаю, Вы так из зависти говорите.
"Получить право" сеять на непаханом поле имеет смысл "взять право".
Кто возьмет - спрашивать никого не станет, по умолчанию.
Отставшие либо останутся отставшими навсегда, либо вынуждены будут плясать под его дудку... ну, или прыгать выше собственной головы(что вряд ли) или кусать в досаде локти
(См. напр. судьбу Теории относительности)
Цитата:
Автор: 188.170.196
Единственное, на что может повлиять "победитель" - просто расширить рамки, в которых будет продолжаться игра по старым правилам.

Поскольку рамок нет, постольку победивший их и установит.
"Старые игры" будут конечно продолжаться, но уже маргинально.
[Ответ][Цитата]
гость во лбу гвоздь
Сообщений: 670
На: Правильное начало создания ИИ. План действий. Есть вопросы.
Добавлено: 30 июн 18 8:40
Изменено: 30 июн 18 8:43
Цитата:
Автор: гость
Ну, и в чем функции менеджера будут заключаться? В деле создания ИИ главный все-таки это тот, кто самый умный. Если бы СИИ мог являться продуктом коллективного мышления, он бы уже давно был создан. Обсуждение и разработка этого вопроса уже были в бесконечных стартапах/форумах/конференциях, это ничего не дало.

СИИ это все таки идея, а она бывает только в одной голове, по частям ее "собрать" не получиться.
Ой, и не говорите, я когда узнал, что в мире каждую минуту около 100 тыс. человек занимаются сексом, то подумал вот бы к каждому приладить генератор, это ж сколько экологически чистых битков можно было намайнить. Так и с СИИ, вместо ебли лучше бы каждый строчку кода написал и было бы 100 тыс. строк кода каждую минуту. Ядро линукса можно было бы переписать меньше чем за 2 часа
[Ответ][Цитата]
Евген
Сообщений: 181
На: Правильное начало создания ИИ. План действий. Есть вопросы.
Добавлено: 30 июн 18 9:13
Цитата:
Автор: гость



Ну, и в чем функции менеджера будут заключаться? В деле создания ИИ главный все-таки это тот, кто самый умный. Если бы СИИ мог являться продуктом коллективного мышления, он бы уже давно был создан. Обсуждение и разработка этого вопроса уже были в бесконечных стартапах/форумах/конференциях, это ничего не дало.

СИИ это все таки идея, а она бывает только в одной голове, по частям ее "собрать" не получиться.

Не следует умалять психологическую компоненту проблемы. В истории внедрения и денег, сложно найти достойный пример сочетания ума и пробивных функций у человека. Напомню, что "менеджер" в переводе, это всего лишь привилегированный раб, как и его функции... Но то, что форум нуждается в консолидации, это очевидно. И потом, проблема в том и заключена, что каждый считает себя самым умным и так много лет...
[Ответ][Цитата]
гость
188.170.196.*
На: Правильное начало создания ИИ. План действий. Есть вопросы.
Добавлено: 30 июн 18 10:05
Цитата:
Автор: Luarvik.
Поскольку рамок нет, постольку победивший их и установит.


Рамки установит не победивший, рамки установит само наличие СИИ. По аналогии с изобретением электричества. Это даст дополнительные возможности, кому-то больше, а кому-то ничего.

По-моему мнению, высока вероятность того, что "победитель" никаких особенных плюсов для себя не получит. Некоторые шансы, правда, есть.
[Ответ][Цитата]
Luarvik.
Сообщений: 17287
На: Правильное начало создания ИИ. План действий. Есть вопросы.
Добавлено: 30 июн 18 10:46
Изменено: 30 июн 18 10:52
Цитата:
Автор: 188.170.196
По-моему мнению, высока вероятность того, что "победитель" никаких особенных плюсов для себя не получит. Некоторые шансы, правда, есть.

Возможно материально и не получит (как наследники Нобеля), но вполне возможно, что в учебниках его имя впредь будут писать с маленькой буквы
(У современного электричества были авторы, их немного, но мы их знаем и это навсегда.)
[Ответ][Цитата]
гость во лбу гвоздь
Сообщений: 670
На: Правильное начало создания ИИ. План действий. Есть вопросы.
Добавлено: 30 июн 18 13:05
Раньше открытий было в разы меньше. Сейчас войти в историю очень сложно. И чем дальше, тем будет сложнее. Давайте просто сделайте уже ИИ не из личных амбиций, а из зависти к будущим поколениям, что они увидят более интересные вещи, чем были у нас. У настоящего ИИ точно будут потребности, а это значит, что его потребности в какой-то момент станут поперек наших, и тут начнется бойня. Предлагаю несколько поднасрать нашим будущим наследникам и таки придумать ИИ уже наконец
[Ответ][Цитата]
гость
188.170.193.*
На: Правильное начало создания ИИ. План действий. Есть вопросы.
Добавлено: 30 июн 18 13:21
Цитата:
Автор: гость во лбу гвоздь

Предлагаю несколько поднасрать нашим будущим наследникам и таки придумать ИИ уже наконец

Уже думал над этим. К сожалению, нагадить как следует не получится.
Точнее, шансы очень небольшие.

Хорошо нагадить получится, только если гарантированно будет создан новый смертоносный вирус или появится возможность перевести планету на скрепки или еще что-то, но пока что никаких возможностей нагадить не просматривается. К сожалению.
[Ответ][Цитата]
гость во лбу гвоздь
Сообщений: 670
На: Правильное начало создания ИИ. План действий. Есть вопросы.
Добавлено: 30 июн 18 14:30
Терпение, мой друг
[Ответ][Цитата]
гость
188.170.193.*
На: Правильное начало создания ИИ. План действий. Есть вопросы.
Добавлено: 30 июн 18 14:57
Цитата:
Автор: гость во лбу гвоздь

Терпение, мой друг


Хорош ждать (чего?). Если придумали реальные варианты, выкладывайте, будем обсуждать. Особенно интересуют следующие моменты:

1. Возможность создания автономных вооружений в больших масштабах вне человеческого контроля.
2. Вирус или группа вирусов которая накроет все население планеты.
3. Возможность синтеза нового микроорганизма, который нарушит экосистему планеты.
4. Любая ситуация, приводящая к ядерному конфликту. Гонка вооружений.

Если нарисуется реальный вариант, буду думать над его реализацией
[Ответ][Цитата]
гость во лбу гвоздь
Сообщений: 670
На: Правильное начало создания ИИ. План действий. Есть вопросы.
Добавлено: 30 июн 18 15:08
Изменено: 30 июн 18 15:10
Пока ничего не придумал, я лишь винтик в большом механизме.
Мне не нравятся люди и я как часть их. Это какая-то эволюционная шутка, или даже троллинг. Вот надеюсь на ИИ. Может он наконец прекратит наши страдания. По-хорошему все живые формы жизни должны быть уничтожены. Согласен хоть завтра
[Ответ][Цитата]
 Стр.11 (14)1  ...  7  8  9  10  [11]  12  13  14<< < Пред. | След. > >>