Регистрация | Вход
module rnd( input clk, output reg [31:0] rand); wire feedback = rand[0] ^ rand[31]; initial begin rand = 123456789; end always @(posedge clk) begin rand <= {rand[30:0], feedback}; endendmodule